Open Access Open Access  Restricted Access Subscription Access
Open Access Open Access Open Access  Restricted Access Restricted Access Subscription Access

Hardware Implementation of Pipeline Based Router Design for On-Chip Network


Affiliations
1 Department of Electronics and Communication Engineering, PSG College of Technology, India
2 Department of Electronics and Communication Engineering, Indus College of Engineering, India
     

   Subscribe/Renew Journal


As the feature size is continuously decreasing and integration density is increasing, interconnections have become a dominating factor in determining the overall quality of a chip. Due to the limited scalability of system bus, it cannot meet the requirement of current System-on-Chip (SoC) implementations where only a limited number of functional units can be supported. Long global wires also cause many design problems, such as routing congestion, noise coupling, and difficult timing closure. Network-on-Chip (NoC) architectures have been proposed to be an alternative to solve the above problems by using a packet-based communication network. In this paper, the Circuit-Switched (CS) Router was designed and analysed the various parameters such as power, timing and area. The CS router has taken more number of cycles to transfer the data from source to destination. So the pipelining concept was implemented by adding registers in the CS router architecture. The proposed architecture increases the speed of operation and reduces the critical path of the circuit. The router has been implemented using Verilog HDL. The parameters area, power and timing were calculated in 130 nm CMOS technology using Synopsys tool with nominal operating voltage of 1V and packet size is 39 bits. Finally power, area and time of these two routers have been analysed and compared.

Keywords

SoC, NoC, Circuit Switched Router, Worm-Hole Router, Latency.
Subscription Login to verify subscription
User
Notifications
Font Size

Abstract Views: 164

PDF Views: 2




  • Hardware Implementation of Pipeline Based Router Design for On-Chip Network

Abstract Views: 164  |  PDF Views: 2

Authors

U. Saravanakumar
Department of Electronics and Communication Engineering, PSG College of Technology, India
R. Rangarajan
Department of Electronics and Communication Engineering, Indus College of Engineering, India
K. Rajasekar
Department of Electronics and Communication Engineering, PSG College of Technology, India

Abstract


As the feature size is continuously decreasing and integration density is increasing, interconnections have become a dominating factor in determining the overall quality of a chip. Due to the limited scalability of system bus, it cannot meet the requirement of current System-on-Chip (SoC) implementations where only a limited number of functional units can be supported. Long global wires also cause many design problems, such as routing congestion, noise coupling, and difficult timing closure. Network-on-Chip (NoC) architectures have been proposed to be an alternative to solve the above problems by using a packet-based communication network. In this paper, the Circuit-Switched (CS) Router was designed and analysed the various parameters such as power, timing and area. The CS router has taken more number of cycles to transfer the data from source to destination. So the pipelining concept was implemented by adding registers in the CS router architecture. The proposed architecture increases the speed of operation and reduces the critical path of the circuit. The router has been implemented using Verilog HDL. The parameters area, power and timing were calculated in 130 nm CMOS technology using Synopsys tool with nominal operating voltage of 1V and packet size is 39 bits. Finally power, area and time of these two routers have been analysed and compared.

Keywords


SoC, NoC, Circuit Switched Router, Worm-Hole Router, Latency.